ШИМ

Материал из roboforum.ru Wiki
Версия от 10:46, 1 октября 2007; Digit (обсуждение | вклад) (См. также)
(разн.) ← Предыдущая | Текущая версия (разн.) | Следующая → (разн.)
Перейти к: навигация, поиск


Широтно-Импульсная Модуляция

Широтно-Импульсная Модуляция (ШИМ, PWM, Pulse Width Modulation) - это способ "изобразить" непрерывный аналоговый сигнал средствами цифровой техники.

В робототехнике ШИМ широко используется для управления двигателями, рулевыми машинками и другими исполнительными механизмами.

См. также

AVR:ШИМ
статья в Википедии